[V1] staging : media : fixed macro expansion error

Dan Carpenter dan.carpenter at oracle.com
Fri May 5 13:03:05 UTC 2017



>  #define DEFINE_SYSFS_PROPERTY(prop, signal, size, mask, check)		\
> -property_write(prop, signal size, mask, check)				\
> -property_read(prop, size, mask)
> +(property_write(prop, signal size, mask, check)				\
> +property_read(prop, size, mask))

breaks the build.

regards,
dan carpenter



More information about the devel mailing list