[PATCH 2/8] staging: vme: allow explicit assignment of bus numbers

Martyn Welch martyn.welch at ge.com
Wed Aug 3 08:54:03 UTC 2011


On 02/08/11 15:57, Martyn Welch wrote:
> 
> Which part of the example udev rule I gave is a fixed identifier? It
> identifies the CDROM based on system topology, using the PCI bus numbering and
> SCSI bus numbering.
> 
> For example, for a device sitting on VME, in the A32 address space at 0x30000,
> via a PCI-VME bridge on PCI bus 2, device 0d, we could provide something like
> this:
> 
> vme-a32:30000-pci-0000:02:0d.0
> 

Hmm, thinking about it, that's not right either.

The VME bridge would be at "pci-0000:02:0d.0". In the current scheme this
would be given a bus number and we should be able to discover from sysfs which
bus numbers are used for which VME bridges. For example, as the usb buses are
enumerated:

$ ls -la /sys/bus/usb/devices/usb*
lrwxrwxrwx 1 root root 0 2011-08-03 09:29 /sys/bus/usb/devices/usb1 ->
../../../devices/pci0000:00/0000:00:1a.7/usb1
lrwxrwxrwx 1 root root 0 2011-08-03 09:29 /sys/bus/usb/devices/usb2 ->
../../../devices/pci0000:00/0000:00:1d.7/usb2
lrwxrwxrwx 1 root root 0 2011-08-03 09:29 /sys/bus/usb/devices/usb3 ->
../../../devices/pci0000:00/0000:00:1a.0/usb3
lrwxrwxrwx 1 root root 0 2011-08-03 09:29 /sys/bus/usb/devices/usb4 ->
../../../devices/pci0000:00/0000:00:1a.1/usb4
lrwxrwxrwx 1 root root 0 2011-08-03 09:29 /sys/bus/usb/devices/usb5 ->
../../../devices/pci0000:00/0000:00:1a.2/usb5
lrwxrwxrwx 1 root root 0 2011-08-03 09:29 /sys/bus/usb/devices/usb6 ->
../../../devices/pci0000:00/0000:00:1d.0/usb6
lrwxrwxrwx 1 root root 0 2011-08-03 09:29 /sys/bus/usb/devices/usb7 ->
../../../devices/pci0000:00/0000:00:1d.1/usb7
lrwxrwxrwx 1 root root 0 2011-08-03 09:29 /sys/bus/usb/devices/usb8 ->
../../../devices/pci0000:00/0000:00:1d.2/usb8
$

Whilst it would be nice to be able to change the bus numbering, I don't think
that passing numbers into the bridge driver at load time is the correct approach.

Martyn

-- 
Martyn Welch (Principal Software Engineer) | Registered in England and
GE Intelligent Platforms                   | Wales (3828642) at 100
T +44(0)127322748                          | Barbirolli Square, Manchester,
E martyn.welch at ge.com                      | M2 3AB  VAT:GB 927559189



More information about the devel mailing list